首页 > 大学网课
题目内容 (请给出正确答案)
[单选题]

在一个VHDL设计中Idata是一个信号,数据类型为std_ logic._vector, 试指出下面那个赋值语句是错误的()。

A.idata <=“00001111”;

B.idata <= b”0000_ 1111” ;

C.idata <= X" AB”

D.idata <= B”21”:

查看答案
答案
收藏
如果结果不匹配,请 联系老师 获取答案
您可能会需要:
您的账号:,可能还需要:
您的账号:
发送账号密码至手机
发送
安装优题宝APP,拍照搜题省时又省心!
更多“在一个VHDL设计中Idata是一个信号,数据类型为std_…”相关的问题
第1题
在一个VHDL设计中idata 是一个信号,数据类型为integer, 数据范围0to 127, 下面哪个赋值语句是正确的()

A.idata := 32;

B. idata <= 16#A0#;

C. idata <= 16#7#E1;

D. idata := B#1010#;

点击查看答案
第2题
用ISP技术设计一个可控计数器.当控制信号X=0时,为8421码十进制计数器;当X=1时,为4位二进制计数器.用VHDL语言写出设计源文件.

点击查看答案
第3题
VHDL语言是一-种结构化设计语言;一个设计实体(电路模块),括实体与结构体两部分,实体体描述的是()。

A.器件外部特性

B.器件的内部功能

C.器件的综合约束

D.器件外部特性与内部功能

点击查看答案
第4题
VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述()。

A.器件外部特性

B.器件的内部功能

C.器件的综合约束

D.器件外部特性与内部功能

点击查看答案
第5题
设计一个4位奇偶校验器,当4位数中有奇数个1时,输出为0,否则输出为1。要求进行逻辑功能分析(真值表、逻辑表达式),基本逻辑门用VHDL语言设计描述,并进行功能仿真。
点击查看答案
第6题
应用数据选择器74HC151和3线-8线译码器74HC138设计一个数据传输电路,其功能是在4位通道选择信
号的控制下,能将16个输入数据中的任何一个传送到16个输出端中相对应的一个输出端,其示意图如图题4.4.28所示。

点击查看答案
第7题
在VHDL的FOR_ LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部量,()事先声明。

A.必须

B.不必

C.其类型要

D.其属性要

点击查看答案
第8题
在VHDL中,为定义的信号赋初值,应该使用()符号。

A.=:

B.=

C.:=

D.<=

点击查看答案
第9题
在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是()

A.PROCESS为- -无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动

B.敏感信号参数表中,应列出进程中使用的所有输入信号

C.进程由说明部分、结构体部分、和敏感信号参数表三部分组成

D.当前进程中声明的信号也可用于其他进程

点击查看答案
第10题
VHDL语言可以把任意复杂的电路系统视作一个模块, 一个模块可主要分为哪三个组成部分?
VHDL语言可以把任意复杂的电路系统视作一个模块, 一个模块可主要分为哪三个组成部分?

点击查看答案
第11题
VHDL语言中信号定义的位置是()。

A.实体中任何位置

B.实体中特定位置

C.结构体中任何位置

D.结构体中特定位置

点击查看答案
退出 登录/注册
发送账号至手机
密码将被重置
获取验证码
发送
温馨提示
该问题答案仅针对搜题卡用户开放,请点击购买搜题卡。
马上购买搜题卡
我已购买搜题卡, 登录账号 继续查看答案
重置密码
确认修改